Compatible Third-Party Tools - 2022.1 English

Vivado Design Suite User Guide: Release Notes, Installation, and Licensing (UG973)

Document ID
UG973
Release Date
2022-04-26
Version
2022.1 English
Table 1. Compatible Third-Party Tools
Third-Party Tool Red Hat 64-bit Linux SUSE Linux Windows 10 64-bit Ubuntu
Simulation
Mentor Graphics ModelSim SE (2021.3) Yes N/A Yes N/A
Mentor Graphics Questa Advanced Simulator (2021.3) Yes N/A Yes N/A
Cadence Xcelium Parallel Simulator (21.09.002) Yes N/A N/A N/A
Synopsys VCS (S-2021.09) Yes N/A N/A N/A
The MathWorks MATLABĀ® and SimulinkĀ® (R2021a and R2021b) Yes Yes Yes Yes
Aldec Active-HDL (12) 1 N/A N/A Yes N/A
Aldec Riviera-PRO (2021.04SR1 ) Yes N/A Yes N/A
Synthesis 2
Synopsys Synplify base/elite/apex (R-2021.09-SP1) 3 Yes Yes Yes N/A
Mentor Graphics Precision RTL/Plus (2019.1.1) Yes Yes Yes N/A
Equivalence Checking
OneSpin 360 (2020.1.1 ) Yes Yes N/A N/A
  1. Support for Aldec simulators is offered by Aldec.
  2. Most Vivado IP can only be synthesized by Vivado synthesis, because the RTL source can include encrypted files. To use this IP in a third-party synthesis flow, netlist can be exported from the Vivado tool in a suitable format for use in the third-party synthesis project.
  3. Contact Synopsys for availability of Synplify Overlay or Service Pack.
  4. Please refer to Vitis Model Composer User Guide (UG1483) for Vitis Model Composer OS support details.
Note: The versions listed in the above table are the minimum required versions to use with the Vivado tools. Previous versions are not tested.
Note: Please contact simulation vendor to check if you need license for using advanced simulation features.