Report Exceptions - 2021.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-10-27
Version
2021.2 English

You can use the Report Exceptions command anywhere in the flow after the synthesis. The Report Exception command reports the following information:

  • All the timing exceptions that have been set in the design and that are affecting timing analysis
  • All the timing exceptions that have been set in the design but that are being ignored as they are overridden by other timing exception

The timing exceptions analyzed by the Report Exception command are (in the order of precedence):

  • clock groups
  • false paths
  • max/min delays
  • multicycle paths

The Report Exception is a powerful command to help debugging issues related to timing exceptions. Some designs have timing constraints with complex timing exceptions. Because the timing exceptions have different priorities, it can quickly become difficult to understand which timing exceptions might be partially or fully ignored by other exception(s). The Report Exception reports timing exceptions that are partially overridden, as well as those that are totally overridden. It also provides a hint to the overriding constraint(s).

For more information about the report_exceptions command line options, refer to this link in the Vivado Design Suite Tcl Command Reference Guide (UG835). For more information about the timing exception priority order, refer to this link in the Vivado Design Suite User Guide: Using Constraints (UG903).

The report_exceptions command has several modes of operation:

  • Reporting the timing exceptions affecting the timing analysis
  • Reporting the timing exceptions being ignored
  • Reporting the timing exceptions coverage
  • Reporting the invalid objects specified for the -from/-through/-to command line options
  • Writing out the timing exceptions with only the valid objects
  • Writing out the timing exceptions merged by the timing engine
    Note: Even though Clock Groups are not strictly timing exceptions, they are covered by the command report_exceptions because they can override other timing exceptions.
    Note: Using the report_exceptions command with the -from/-through/-to options only report timing exceptions that have been defined with the same -from/-through/-to command line options. The specified patterns can be different but there must be at least one object (cell, net, pin, or port) matching inside each of the -from/-through/-to for it to be reported as an exception.