Using Incremental Implementation in Project Mode - 2021.1 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-08-30
Version
2021.1 English
In Project Mode, you can set the incremental compile option in two ways: in the Design Runs window and in the Implementation section of the Settings dialog box. To set the incremental compile option in the Design Runs window:
  1. Right-click a run in the Design Runs window.
  2. Click Set Incremental Implementation from the context menu.

To set Incremental Implementation in the Settings dialog box:

  1. In the Flow Navigator, select Settings under Project Manager.
  2. Select Implementation.
  3. Next to Incremental Implementation, select the button to enable the Incremental Implementation dialog box.

To enable automatic checkpoint management as described above in Automatic Modeā€¦., select the Automatically use the checkpoint from the previous run radio button.

Alternatively use the Tcl command:

set_property AUTO_INCREMENTAL_CHECKPOINT 1 [get_runs <run_name>]

To clean the reference data, set Incremental Implementation to Disable Incremental Compile and reset the run. After resetting the run, it can be turned on again starting fresh.

To reference a user specified checkpoint, select the option Specify Design Checkpoint. When a checkpoint is selected, it will be added to the utils_1 fileset. Alternatively use the TCL commands:

add_files -fileset utils_1 -norecurse <reference>.dcp
set_property INCREMENTAL CHECKPOINT <reference>.dcp [get_runs <run_name>]

To disable incremental compile for the current run, do one of the following:

  • Select Disable incremental compile in the Incremental Implementation dialog box, or
  • Run the following command in the Tcl Console:
    set_property AUTO_INCREMENTAL_CHECKPOINT 0 [get_runs <run_name>]
Note: Low reuse mode is not natively supported in project mode. It can be achieved using a post opt_design Tcl script with the read_checkpoint -incremental command.