Incremental Implementation Controls - 2022.1 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2022-05-24
Version
2022.1 English

If no command arguments (other than -incremental) are specified, the tool reuses as much of the reference checkpoint information that it can. However, command arguments can be applied to the read_checkpoint -incremental command that give the user control over what is used and not reused.

-auto_incremental Option

This enables the automatic incremental flow described in Automatic Incremental.

-fix_objects Option

-fix_objects <cell objects>

The -fix_objects option can be used to lock a subset of cells. These cells are not touched by the incremental place and route tools. The -fix_objects option only works on cells that match and are identified for cell reuse. This is the full design space when -reuse_objects is not specified, or the associated cells when -reuse_objects is specified.

Examples

The following are examples of their use:

  • To reuse all objects and fix only Block Memory placement:
    read_checkpoint -incremental routed.dcp -fix_objects [all_rams]
  • To reuse all objects and fix only DSP placement:
    read_checkpoint -incremental routed.dcp -fix_objects [all_dsps]