Step 2: Compiling the Reference Design - 2022.1 English

Vivado Design Suite Tutorial: Programming and Debugging (UG936)

Document ID
UG936
Release Date
2022-05-20
Version
2022.1 English
The following are the steps to run implementation on the reference design.
  1. From the Flow Navigator, select Run Implementation.
  2. After implementation finishes, the Implementation Complete dialog box opens. Click Cancel.
  3. In a project-based design, the Vivado Design Suite saves intermediate implementation results as design checkpoints in the implementation runs directory. You will use one of the saved design checkpoints from the implementation in the incremental compile flow.
    Tip: When you re-run implementation, the previous results will be deleted. Save the intermediate implementation results to a new directory or create a new implementation run for your incremental compile to preserve the reference implementation run directory.
  4. In the Design Runs window, right-click impl_1 and select Open Run Directory from the popup menu. This opens the run directory in a file browser as seen in the following figure. The run directory contains the routed checkpoint (top_routed.dcp) to be used later for the incremental compile flow. The location of the implementation run directory is a property of the run.
  5. Get the location of the current run directory in the Tcl Console by typing:
    get_property DIRECTORY [current_run]

    This returns the path to the current run directory that contains the design checkpoint. You can use this Tcl command, and the DIRECTORY property, to locate the DCP files needed for the incremental compile flow.