Cascade Ports

Versal ACAP Memory Resources Architecture Manual (AM007)

Document ID
AM007
Release Date
2020-11-24
Revision
1.1 English

The following table lists the UltraRAM cascade ports. Input ports are cascaded from the block below and output ports are cascaded to the block above.

Table 1. Cascade Ports
Port Name Description
Port A Cascade Inputs
CAS_IN_ADDR_A[25:0] Port A input address input. In cascade mode, connect this port to CAS_OUT_ADDR_A.
CAS_IN_EN_A Port A input enable input. In cascade mode connect this port to CAS_OUT_EN_A.
CAS_IN_BWE_A[8:0] Port A input write mode port byte write enable. In cascade mode, connect this port to CAS_OUT_BWE_A.
CAS_IN_RDB_WR_A Port A input read/write mode select. In cascade mode, connect this port to CAS_OUT_RDB_WR_A.
CAS_IN_DIN_A[71:0] Port A input write mode. In cascade mode, connect this port to CAS_OUT_DIN_A.
CAS_IN_DOUT_A[71:0] Port A input read mode data output. In cascade mode, connect this port to CAS_OUT_DOUT_A.
CAS_IN_RDACCESS_A Port A input read mode read status. In cascade mode, connect this port to CAS_OUT_RDACCESS_A.
CAS_IN_SBITERR_A Port A input read mode single-bit error flag input. In cascade mode, connect this port to CAS_OUT_SBITERR_A.
CAS_IN_DBITERR_A Port A input read mode double-bit error flag input. In cascade mode, connect this port to CAS_OUT_DBITERR_A.
Port A Cascade Outputs
CAS_OUT_ADDR_A[25:0] Port A output address. In cascade mode, connect this port to CAS_IN_ADDR_A.
CAS_OUT_EN_A Port A output enable. In cascade mode, connect this port to CAS_IN_EN_A.
CAS_OUT_RDB_WR_A Port A output read/write mode select. In cascade mode, connect this port to CAS_IN_RDB_WR_A.
CAS_OUT_BWE_A[8:0] Port A output write mode byte write enable. In cascade mode, connect this port to CAS_IN_BWE_A.
CAS_OUT_DIN_A[71:0] Port A output write mode data. In cascade mode, connect this port to CAS_IN_DIN_A.
CAS_OUT_DOUT_A[71:0] Port A output read mode data. In cascade mode, connect this port to CAS_IN_DOUT_A.
CAS_OUT_RDACCESS_A Port A output read mode read status flag. In cascade mode, connect this port to CAS_IN_RDACCESS_A.
CAS_OUT_SBITERR_A Port A output read single-bit error flag. In cascade mode, connect this port to CAS_IN_SBITERR_A.
CAS_OUT_DBITERR_A Port A output read mode double-bit error flag. In cascade mode, connect this port to CAS_IN_DBITERR_A.
Port B Cascade Inputs
CAS_IN_ADDR_B[25:0] Port B input address input. In cascade mode, connect this port to CAS_OUT_ADDR_B.
CAS_IN_EN_B Port B input enable input. In cascade mode, connect this port to CAS_OUT_EN_B.
CAS_IN_BWE_B[8:0] Port B input write mode port byte write enable. In cascade mode, connect this port to CAS_OUT_BWE_B.
CAS_IN_RDB_WR_B Port B input read/write mode select. In cascade mode, connect this port to CAS_OUT_RDB_WR_B.
CAS_IN_DIN_B[71:0] Port B input write mode. In cascade mode, connect this port to CAS_OUT_DIN_B.
CAS_IN_DOUT_B[71:0] Port B input read mode data output. In cascade mode, connect this port to CAS_OUT_DOUT_B.
CAS_IN_RDACCESS_B Port B input read mode read status. In cascade mode, connect this port to CAS_OUT_RDACCESS_B.
CAS_IN_SBITERR_B Port B input read mode single-bit error flag input. In cascade mode, connect this port to CAS_OUT_SBITERR_B.
CAS_IN_DBITERR_B Port B input read mode double-bit error flag input. In cascade mode, connect this port to CAS_OUT_DBITERR_B.
Port B Cascade Outputs
CAS_OUT_ADDR_B[25:0] Port B output address. In cascade mode, connect this port to CAS_IN_ADDR_B.
CAS_OUT_EN_B Port A output enable. In cascade mode, connect this port to CAS_IN_EN_B.
CAS_OUT_BWE_B[8:0] Port B output write mode byte write enable. In cascade mode, connect this port to CAS_IN_BWE_B.
CAS_OUT_RDB_WR_B Port B output read/write mode select. In cascade mode, connect this port to CAS_IN_RDB_WR_B.
CAS_OUT_DIN_B[71:0] Port B output write mode data. In cascade mode, connect this port to CAS_IN_DIN_B.
CAS_OUT_DOUT_B[71:0] Port B output read mode data. In cascade mode, connect this port to CAS_IN_DOUT_B.
CAS_OUT_RDACCESS_B Port B output read mode read status flag. In cascade mode, connect this port to CAS_IN_RDACCESS_B.
CAS_OUT_SBITERR_B Port B output read single-bit error flag. In cascade mode, connect this port to CAS_IN_SBITERR_B.
CAS_OUT_DBITERR_B Port B output read mode double-bit error flag. In cascade mode, connect this port to CAS_IN_DBITERR_B.