Configuring and Generating the Wrapper - 3.6 English

7 Series FPGAs Transceivers Wizard (PG168)

Document ID
PG168
Release Date
2022-05-19
Version
3.6 English

This section provides instructions for generating an example transceiver wrapper using the default values. The wrapper, associated example design, and supporting files are generated in the project directory. For additional details about the example design files and directories, see Example Design.

1.Open a project by selecting File > Open Project or create a new project by selecting File > New Project in the Vivado Design Suite.

2.Open the IP catalog and select FPGA Features and Design > IO Interfaces in the View by Function pane.

3.Double-click 7 Series FPGAs Transceiver Wizard to bring up the 7\ series FPGA Transceiver Customize IP dialog box.