Setting the Project Options - 3.6 English

7 Series FPGAs Transceivers Wizard (PG168)

Document ID
PG168
Release Date
2022-05-19
Version
3.6 English

Set the project options using these steps:

1.Click Part in the option tree.

2.Select Virtex7 from the Family list.

3.Select a device from the Device list that supports transceivers.

4.Select an appropriate package from the Package list. This example uses the XC7V1500T device (see This Figure or This Figure). For an example design using GTZ transceivers, select the XC7VH580T device.

Note:   If an unsupported silicon family is selected, the Wizard remains light gray in the taxonomy tree and cannot be customized. Only devices containing 7\ series FPGAs transceivers are supported by the Wizard. See 7 Series FPGAs Overview (DS180) [Ref 11] for a list of devices containing the 7\ series FPGAs transceivers.

5.Click Generation in the option tree and select either Verilog or VHDL as the output language. For GTZ transceivers, select only Verilog. VHDL is not supported.

6.Click OK.

Figure 4-3:      Target Architecture Setting

X-Ref Target - Figure 4-3

taget_arch.PNG
Figure 4-4:      Target Architecture Setting (Vivado Design Suite)

X-Ref Target - Figure 4-4

vivado_target_arch.PNG