Introduction - 2.0 English

UHD SDI Audio LogiCORE IP Product Guide (PG309)

Document ID
PG309
Release Date
2021-12-01
Version
2.0 English

The Xilinx® UHD SDI Audio core is configurable as an audio embedder or an audio extractor. When configured as an audio embedder, the core can embed of up to 32 channels of AES3 audio data over an AXI4-Stream audio interface onto an SDI stream. Similarly, when configured as an audio extractor, the core can extract up to 32 channels of audio data from the incoming SDI stream and output them in AES3 format on an AXI4-Stream audio interface. In both the configurations, the core supports multiple audio sample rates (32 kHz, 44.1 kHz, and 48 kHz).

It is designed in accordance with SMPTE ST 272 for SD-SDI, SMPTE ST 299-1 for HD-SDI and SMPTE ST 299-1 and 2 for 3G/6G/12G-SDI. This IP includes standard bus interfaces to the AMBA® AXI4-Lite and AXI4-Stream interfaces, allowing for easier integration of the IP with other Audio Interface IPs for further processing of audio data.