NoC Communication - 1.0 English

Versal Adaptive SoC Programmable Network on Chip and Integrated Memory Controller 1.0 LogiCORE IP Product Guide (PG313)

Document ID
PG313
Release Date
2023-11-01
Version
1.0 English

Basic NoC read and write transactions are shown in the following figures. A typical transaction can be summarized as follows:

  1. An AXI master sends read/write requests to a connected NoC access point (NMU).
  2. A PL AXI master should enable traffic only when all of the PL AXI slaves it communicates with are out of reset.
  3. The NMU relays the requests through a set of NoC packet switches (NPSs) before the requests reach a destination (NoC slave unit NSU or output port).
  4. The NSU passes the received requests to the attached AXI slave for processing.
  5. While processing the requests, the AXI slave sends read/write responses back to the attached NSU.
  6. The NSU relays the responses through the NPS network to the NMU from which the requests originated. From here, the responses are communicated to the AXI master.