Elaborate the Design, and Assign I/O Package Pins - 1.0 English

Advanced IO Wizard LogiCORE IP Product Guide (PG320)

Document ID
PG320
Release Date
2022-10-19
Version
1.0 English

Next, elaborate the design, and assign the I/O Package Pins using the Advanced I/O Planner.

  1. In the Vivado® Integrated Design Environment (IDE), in the Flow Manager under RTL Analysis, click Open Elaborated Design. It will take some time to open the design because of the Out-of-Context (OOC) Synthesis Runs.
  2. In the upper right-hand corner of the Vivado IDE, be sure to have the I/O Planning Layout selected.
  3. Open the I/O Ports window, and the Package view.
  4. From within the I/O Ports window, click the Open Advanced I/O Planner link to open this tool.
  5. In the Advanced I/O Planner, in the I/O Instances tab, assign the Advanced IO Wizard IP to IO Bank IOBANK 705.
  6. The individual nibble assignments can be adjusted using the Nibble Groups tab, as shown in the following figure.
  7. Click OK to close the Advanced I/O Planner when the nibbles are adjusted.
  8. Click the Save icon to save the I/O assignments to the constraints file.

    The tutorial relies on I/O auto placer in the implementation tools to place the remaining I/Os that are not part of the Advanced IO Wizard IP. In a true design, the classic I/O Planning is available for the I/Os that are not part of the Advanced IO Wizard IP.

  9. Close the elaborated design.