Introduction - 1.0 English

Advanced IO Wizard LogiCORE IP Product Guide (PG320)

Document ID
PG320
Release Date
2022-10-19
Version
1.0 English

The Xilinx® LogiCORE™ Advanced IO Wizard simplifies the integration of SelectIO™ technology into high-speed system designs for Versal® devices. The Advanced IO Wizard creates a wrapper file that instantiates and configures IO and clocking logic such as XPHY_NIBBLE and XPLL blocks present in the physical-side interface (PHY) architecture. The Advanced IO Wizard also provides an optimized default pin placement for the interface with pre-defined grouping of pins. The Advanced IO Wizard supports a wide range of interfaces, particularly high performance interfaces. For more information, see Versal ACAP SelectIO Resources Architecture Manual (AM010).