Summary - 1.0 English

Advanced IO Wizard LogiCORE IP Product Guide (PG320)

Document ID
PG320
Release Date
2022-10-19
Version
1.0 English

In this tutorial, you learned how to create a Versal® XPHY logic receive interface by using the Clocking Wizard and the Advanced IO Wizard in the Vivado® IP integrator. You also learned how to perform I/O Planning on Versal® devices for high-speed I/O using the Advanced I/O Planner. You successfully implemented the design targeting the Versal hardware.