Clock Manager Type (Primitive Selection) - 1.0 English

Clocking Wizard for Versal ACAP LogiCORE IP Product Guide (PG321)

Document ID
PG321
Release Date
2022-10-26
Version
1.0 English

VersalĀ® devices have MMCME5, XPLL, and DPLL clocking primitives. You have the option to configure either of these by selecting the primitive. Depending on the primitive that you select, some features are either enabled or disabled.