General Checks - 1.0 English

Embedded FIFO Generator LogiCORE IP Product Guide (PG327)

Document ID
PG327
Release Date
2023-05-16
Version
1.0 English

Ensure that all the timing constraints for the core were properly incorporated from the Embedded FIFO Generator and that all constraints were met during implementation.

  • Does it work in post-place and route timing simulation? If problems are seen in hardware but not in timing simulation, this could indicate a PCB issue. Ensure that all clock sources are active and clean.
  • If using MMCMs in the design, ensure that all MMCMs have obtained lock by monitoring the locked port.
  • Ensure wr_en and rd_en are not toggling during reset
  • If independent clock FIFO is used, ensure wr_en is coming from the write clock domain and rd_en is coming from the read clock domain.
  • If your outputs go to 0, check your licensing.