Parameter Description - 1.0 English

HDMI PHY Controller LogiCORE IP Product Guide (PG333)

Document ID
PG333
Release Date
2023-12-01
Version
1.0 English

The Vivado IDE displays a representation of the IP symbol on the left side, and the parameter assignments on the right side, which are described as follows:

Component Name
The component name is used as the base name of the output files generated for the module. Names must begin with a letter and must be composed of characters: a to z, 0 to 9, and "_". The name v_hdmi_phy cannot be used as a component name.
Transceiver
Specifies the types of transceivers that are used in this core. This option is not editable and depends on the FPGA family.
Transceiver Width
Specifies the width of the transceiver that is used in this core. Fixed at 4.
TX/RX Protocol Selection
Specifies the protocol that is supported under this core. None or HDMI 2.1 can be selected under this selection.
Note: When TX/RX Protocol Selection is set to None, some of the options such as PLL type and Ref Clock Selection are still open for changes and vary per protocol of opposite direction. These options can be ignored when TX/RX Protocol Selection is set to None. For HDMI, it is important to note that the GT COMMON is optimized out of the HDMI PHY Controller when QPLL0/1 is not associated with either the TX or the RX. Consider the following scenarios as examples:
  • GTHE4 & GTYE4 with TX is HDMI 2.1 and RX is None.
    • The only configurable options on RX are RX PLL Type and RX Ref Clock Selection.
    • There is an automatic checking on the PLL Type that disables the setting of the same PLL type for TX and RX.
    • For RX Ref Clock Selection, the setting has no impact on the refclk port.
TX/RX Clock Primitive
Specifies the clock primitive for TX/RX. Valid values are MMCM and PLL.
Note: PLL option is only enabled when TX/RX Protocol is HDMI and Transceiver is GTHE4 or GTYE4.
TX/RX Max GT Line Rate
Specifies the maximum line rate for the transceiver. For HDMI 2.1 protocol, this option is fixed based on the TX/RX Channel value. When Use 4th GT Channel as TX TMDS Clock is enabled, this option can be 6 or 12 Gb/s.
TX/RX Channel
Specifies the number of transceiver channels to be generated in this core. For HDMI 2.1 protocol, there is the option to have 3 or 4 channels.
TX/RX Ref Clock Selection
Specifies the reference clock that corresponds to the transceiver.
TX/RX FRL Ref Clock Selection
Specifies the FRL reference clock that corresponds to the transceiver.
TX Buffer Bypass
When checked, the TX buffer is excluded from the core.
TX REFCLK Ready Active
Specifies active-Low/High for TX RefClk Ready. This option is displayed when HDMI protocol is selected.
Ni-DRU
When checked, the NI-DRU is included in the core. This option is displayed when HDMI protocol is selected for the receiver.
Ni-DRU Ref Clock Selection
Specifies the reference clock that corresponds to the NI-DRU. This option is displayed when HDMI protocol is selected for the receiver.
Important: There is no automatic check between the DRU Ref Clock and the RX/TX Ref Clock Selection. Therefore, you should avoid using the same clock for the DRU Ref Clock as either the TX or RX PLL Ref Clock.
Number of pixels per clock
Specifies the number of pixels for video clock generation. This is fixed at 4.
Advanced Clock Mode
The core exposes its active/selected single-ended clock ports and additional odiv_2 clock ports. If unchecked, the core only exposes its active/selected differential clock ports.
DRP Clock Frequency (MHz)
Specifies the frequency that needs to be driven at the DRP clock. This option is displayed with a fixed value when the AMD UltraScale+™ transceiver is selected.
Insertion Loss at Nyquist (dB)
Specifies the value of insertion loss.
GT
Starting Channel Location specifies the starting channel location that aligns with the Quad boundary. This option is displayed when AMD UltraScale+™ transceiver is selected.
GT Bank <num>
Indicates the transceiver bank location. This option Indicator is displayed when UltraScale transceiver is selected.