Updating Ports from a Top-Level HDL - 2023.2 English

Vivado Design Suite User Guide: Creating and Packaging Custom IP (UG1118)

Document ID
UG1118
Release Date
2023-11-06
Version
2023.2 English

The recommended flow for adding or removing ports to the Custom IP is to modify the top-level HDL file in the Vivado project, and merge the changes using the Package IP window.

With the Package IP window open, a merge changes banner appears that prompts you to update the contents of the ports and interfaces list from the updated file in the Vivado project.

To update ports from a top-level HDL:

  1. Open the Package IP window from the Flow Navigator > Package IP.
  2. In the Ports and Interfaces page of the Package IP window, click the Merge changes from Ports and Interfaces Wizard hyperlink in the banner.

The IP packager only monitors the top-level HDL file for file changes. It does not monitor secondary or ancillary files that, if changed, could affect the top-level ports.

For cases where ancillary files are modified and the Merge changes from Ports and Interfaces Wizard banner is not present, the same behavior can be accessed through the Tcl design environment.

To merge changes from Ports and Interfaces page using Tcl, type the following in the Tcl Console:
ipx::merge_project_changes ports [ipx::current_core]