Output Slew Rate Control

UltraScale Architecture SelectIO Resources User Guide (UG571)

Document ID
UG571
Release Date
2023-08-31
Revision
1.15 English

SLEW settings of FAST and SLOW (default) are supported. The FAST slew rate setting enables higher performance interfaces, while the SLOW slew rate often provides an improved signal quality for many applications.

The SLEW attribute uses the following syntax in the XDC file:

set_property SLEW FAST|SLOW [get_ports port_name]