Tcl Shell Mode - 2023.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2023-10-18
Version
2023.2 English
If you prefer to work directly with Tcl commands, you can interact with your design using Tcl commands with one of the following methods:
  • Enter individual Tcl commands in the Vivado Design Suite Tcl shell outside of the Vivado IDE.
  • Enter individual Tcl commands in the Tcl Console at the bottom of the Vivado IDE.
  • Run Tcl scripts from the Vivado Design Suite Tcl shell.
  • Run Tcl scripts from the Vivado IDE.
Use the following command to invoke the Vivado Design Suite Tcl shell either at the Linux command prompt or within a Windows Command Prompt window:
vivado -mode tcl 
Tip: On Windows, you can also select Start > All Programs > Xilinx Design Tools > Vivado yyyy.x > Vivado yyyy.x Tcl Shell, where “yyyy.x” is the installed version of Vivado.

For more information about using Tcl and Tcl scripting, see the Vivado Design Suite User Guide: Using Tcl Scripting (UG894). For a step-by-step tutorial that shows how to use Tcl in the Vivado tool, see the Vivado Design Suite Tutorial: Design Flows Overview (UG888).