generate_rl_platform - 2023.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2023-10-18
Version
2023.2 English

Generate new platform based on base platform and wrapper module

Syntax

generate_rl_platform [‑use_source <arg>] [‑reconfig_platform <arg>]
    [‑base_platform <arg>] [‑platform <arg>] [‑quiet] [‑verbose]

Usage

Name Description
[-use_source] Specify wrapper checkpoint path
[-reconfig_platform] (Required) Specify reconfigurable platform module name
[-base_platform] (Required) Specify base platform checkpoint path
[-platform] (Optional) Specify new platform checkpoint path, the default file name is 'rl_platform.dcp'
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

FileIO