get_hw_sio_commons - 2023.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2023-10-18
Version
2023.2 English

Get list of hardware SIO GT commons.

Syntax

get_hw_sio_commons [‑of_objects <args>] [‑regexp] [‑nocase] [‑filter <arg>]
    [‑quiet] [‑verbose] [<patterns>]

Returns

Hardware SIO GT commons.

Usage

Name Description
[-of_objects] Get 'hw_sio_common' objects of these types: 'hw_server hw_target hw_device hw_sio_ibert hw_sio_gtgroup hw_sio_pll'.
[-regexp] Patterns are full regular expressions
[-nocase] Perform case-insensitive matching. (valid only when -regexp specified)
[-filter] Filter list with expression
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
[<patterns>] Match the 'hw_sio_common' objects against patterns. Default: *

Categories

Hardware, Object

Description

Returns the QPLL objects, hw_sio_commons, defined on the IBERT debug core on the current hardware device.

For each serial transceiver channel, there is a ring PLL called Channel PLL (CPLL). In UltraScale™ and 7 series FPGAs, the high-speed transceivers have an additional common or shared PLL per quad, or Quad PLL (QPLL). This QPLL is a shared LC PLL to support high speed, high performance, and low power multi-lane applications.

On the device, the GTXE2_CHANNEL component has the serial transceiver and CPLL units and the GTXE2_COMMON has the QPLL unit.

This command returns a list of QPLL objects on the device as hw_sio_common objects, or returns an error if it fails.

Arguments

-of_objects <arg> - (Optional) Return the QPLL objects of the specified hw_server, hw_target, hw_device, hw_sio_ibert, or hw_sio_pll. The objects must be specified using the appropriate get_hw_* command.
Note: The -of_objects option requires objects to be specified using the get_* commands, such as get_cells or get_pins, rather than specifying objects by name. In addition, -of_objects cannot be used with a search <pattern>.
-regexp - (Optional) Specifies that the search <patterns> are written as regular expressions. Both search <patterns> and -filter expressions must be written as regular expressions when this argument is used. Xilinx® regular expression Tcl commands are always anchored to the start of the search string. You can add ".*" to the beginning or end of a search string to widen the search to include a substring. See http://perldoc.perl.org/perlre.html for help with regular expression syntax.
Note: The Tcl built-in command regexp is not anchored, and works as a standard Tcl command. For more information refer to http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm.

-nocase - (Optional) Perform case-insensitive matching when a pattern has been specified. This argument applies to the use of -regexp only.

-filter <args> - (Optional) Filter the results list with the specified expression. The -filter argument filters the list of objects returned by get_hw_sio_commons based on property values on the hw_sio_common objects. You can find the properties on an object with the report_property or list_property commands. In the case of the "hw_sio_common" object, "NAME" and "DISPLAY_NAME" are two of the properties that can be used to filter results.

The filter search pattern should be quoted to avoid having to escape special characters. String matching is case-sensitive and is always anchored to the start and to the end of the search string. The wildcard “*” character can be used at the beginning or at the end of a search string to widen the search to include a substring of the property value.
Note: The filter returns an object if a specified property exists on the object, and the specified pattern matches the property value on the object. In the case of the "*" wildcard character, this will match a property with a defined value of "".
For string comparison, the specific operators that can be used in filter expressions are "equal" (==), "not-equal" (!=), "match" (=~), and "not-match" (!~). Numeric comparison operators <, >, <=, and >= can also be used. Multiple filter expressions can be joined by AND and OR (&& and ||). The following gets input pins that do NOT contain the “RESET” substring within their name:
get_pins * -filter {DIRECTION == IN && NAME !~ "*RESET*"}
Boolean (bool) type properties can be directly evaluated in filter expressions as true or not true:
-filter {IS_PRIMITIVE && !IS_LOC_FIXED}
-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

<patterns> - (Optional) Match hw_sio_commons against the specified patterns. The default pattern is the wildcard '*' which gets a list of all hw_sio_commons available on the current hardware device.

Example

The following example gets the ILA debug cores defined on the current hardware device:

get_hw_sio_commons -of [get_hw_sio_iberts]