get_sim_versions - 2023.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2023-10-18
Version
2023.2 English

Get supported version(s) for the specified simulator

Syntax

get_sim_versions [‑quiet] [‑verbose] [<name>]

Usage

Name Description
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
[<name>] Simulator name

Categories

ToolLaunch