write_iphys_opt_tcl - 2023.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2023-10-18
Version
2023.2 English

Write iPhysOpt script.

Syntax

write_iphys_opt_tcl [‑place] [‑binary] [‑quiet] [‑verbose] [<output>]

Usage

Name Description
[-place] write out placement information
[-binary] write out in binary format
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
[<output>] tcl file containing iPhysOpt script

Categories

Tools

Description

Because physical optimization requires timing data that is only available after placement, the phys_opt_design command cannot be run prior to placement. However, the interactive physical optimization feature, or iphys_opt_design, lets you write out the physical optimizations performed on the post-placed design, and then apply those optimizations to the design netlist prior to placement. Refer to the Vivado Design Suite User Guide: Implementation (UG904) for more information on interactive physical optimization.

Interactive physical optimization can be used in two ways:

  • Applying post-placement physical optimizations to the pre-placement netlist to improve the overall placement result and improve design performance.
  • Saving the physical optimizations in a Tcl script to be repeated as needed.

The write_iphys_opt_tcl command can only be run after placement, on a design that has had actual physical optimizations performed.

Tip: You can use the report_phys_opt command to report the physical optimizations that have been performed on the design.

The output is a Tcl script file with a sequence of iphys_opt_design commands listing the specific optimizations performed by the phys_opt_design command. The iphys_opt Tcl script can be edited to change the specific optimizations performed. The Tcl script provides a history of the physical optimizations performed on the design after placement, marked by date and history.

Important: The iphys_opt Tcl script contains the specific optimizations performed by the phys_opt_design command, but does not include placement and routing changes or results.

This command returns nothing if successful, or returns an error if it fails.

Arguments

-place - (Optional) Write out placement data for optimized cells in the design, as well as the physical optimization Tcl commands. The default iphys_opt Tcl script does not include the placement data.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

<output> - (Required) The name of the interactive physical optimization Tcl file to write. You should specify the path, name, and extension for the file.

Note: If the path is not specified as part of the file name, the file will be written into the current working directory, or the directory from which the tool was launched.

Examples

The following example writes the physical optimizations that have been performed in the current design to the specified Tcl script:

write_iphys_opt_tcl C:/Data/myDesign_physopt.tcl