Closing Timing Using Intelligent Design Runs - 2023.2 English

Vivado Design Suite User Guide: Design Flows Overview (UG892)

Document ID
UG892
Release Date
2023-10-18
Version
2023.2 English

Intelligent design runs (IDR) uses a multi-stage run approach to automatically close timing on a design. This flow can be invoked in the GUI by right clicking on implementation run in the design runs window and selecting "Close Timing Using Intelligent Design Runs" or in Tcl, by creating a new run using the IDR flow and properly setting the reference run. For information on Intelligent design runs, see Chapter 8 of Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906).