Using Design Checkpoints - 2023.2 English

Vivado Design Suite User Guide: Design Flows Overview (UG892)

Document ID
UG892
Release Date
2023-10-18
Version
2023.2 English

Design checkpoints enable you to take a snapshot of your design in its current state. The current netlist, constraints, and implementation results are stored in the design checkpoint. Using design checkpoints, you can:

  • Restore your design if needed
  • Perform design analysis
  • Define constraints
  • Proceed with the design flow

You can write design checkpoints at different points in the flow. It is important to write design checkpoints after critical design steps for design analysis and constraints definition. You can read design checkpoints to restore the design, which might be helpful for debugging issues. The design checkpoint represents a full save of the design in its current implementation state. You can run the design through the remainder of the flow using Tcl commands. However, you cannot add new sources to the design.

Note: You can also use the write_checkpoint <file_name>.dcp and read_checkpoint <file_name>.dcp Tcl commands to write and read design checkpoints. To view a checkpoint in the Vivado IDE, use the open_checkpoint <file_name>.dcp Tcl command. For more information, see the Vivado Design Suite Tcl Command Reference Guide (UG835).