Using the launch_simulation Command - 2023.2 English

Vivado Design Suite User Guide: Logic Simulation (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 English

The launch_simulation command lets you run any supported simulator in script mode.

The syntax of launch_simulation is as follows:

launch_simulation [-step <arg>] [-simset <arg>] [-mode <arg>] [-type <arg>][-scripts_only] [-gui] [-exec] [-of_objects <args>] [-absolute_path][-install_path <arg>] [-noclean_dir] [-quiet] [-verbose][-gcc_install_path <arg>][-exec]

The following table describes the options of launch_simulation.

Table 1. launch_simulation Options
Option Description
[-step] Launch a simulation step. Values: all, compile, elaborate, simulate. Default: all (launch all steps).
[-simset] Name of the simulation fileset.
[-mode] Simulation mode. Values: behavioral, post-synthesis, post-implementation Default: behavioral.
[-type] Netlist type. Values: functional, timing. This is only applicable when the mode is set to post-synthesis or post-implementation.
[-scripts_only] Only generate scripts.
[-gui] Invoke simulator GUI (applicable for -scripts_only mode).
[-exec] Execute existing script for the step specified with the -step switch.
[-of_objects] Generate compile order file for this object (applicable with -scripts_only option only).
[-absolute_path] Make all file paths absolute with respect to the reference directory.
[-install_path] Custom installation directory path.
[-noclean_dir] Do not remove simulation run directory files.
[-quiet] Ignore command errors.
[-verbose] Suspend message limits during command execution.
[-gcc_install_path] Specify GNU compiler installation directory path for g++/gcc executable.
[-exec] Execute existing script for the step specified with the -step switch.