CLOCK_BUFFER_TYPE - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

Apply CLOCK_BUFFER_TYPE on an input clock to describe what type of clock buffer to use.

By default, Vivado synthesis uses BUFGs for clock buffers. Supported values are "BUFG", "BUFH", "BUFIO", "BUFMR", "BUFR" or "none". The CLOCK_BUFFER_TYPE attribute can be placed on any top-level clock port. It can be set in the RTL and XDC.