Clock Event Statements - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

Describe the clock event statement as:

  • Rising edge clock:
    if rising_edge (clk) then
  • Falling edge clock:
    if falling_edge (clk) then