DIRECT_ENABLE XDC Example - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English
set_property direct_enable yes [get_nets -of [get_ports ena3]]
Note: For XDC usage, this attribute only works on type net, so you must use the get_nets command for the object.