Defining Your Own VHDL Packages - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

You can define your own VHDL packages to specify:

  • Types and subtypes
  • Constants
  • Functions and procedures
  • Component declarations

Defining a VHDL package permits access to shared definitions and models from other parts of your project and requires the following:

Package declaration
Declares each of the previously listed elements.
Package body
Describes the functions and procedures declared in the package declaration.