Flip-Flops and Registers Initialization - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

To initialize the content of a Register at circuit power-up, specify a default value for the signal during declaration.