IO_BUFFER_TYPE - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

Apply the IO_BUFFER_TYPE attribute on any top-level port to instruct the tool to use buffers. Add the property with a value of "NONE" to disable the automatic inference of buffers on the input or output buffers, which is the default behavior of Vivado synthesis. This attribute is only supported, and can only be set in the RTL.