Procedural Timing Controls - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

SystemVerilog has two types of timing controls:

  • Delay control: Specifies the amount of time between the statement its execution. This is not useful for synthesis, and Vivado synthesis ignores the time statement while still creating logic for the assignment.
  • Event control: Makes the assignment occur with a specific event; for example, always@(posedge clk). This is standard with Verilog, but SystemVerilog includes extra functions.

The logical or operator is an ability to give any number of events so that any event triggers the execution of the statement. To do this, use either a specific or, or separate with commas in the sensitivity list. For example, the following two statements are the same:

always@(a or b or c) 
always@(a,b,c)

SystemVerilog also supports the implicit event_expression @*. This helps to eliminate simulation mismatches caused because of incorrect sensitivity lists.

For example:

Logic always@* begin

See Vivado Design Suite User Guide: Logic Simulation (UG900) for the Verilog format for simulation.