Sequential Process Using a Wait Statement Coding Example (VHDL) - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English
process begin
wait until rising_edge(clk);
q <= d;
end process;