Tristates - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English
  • Tristate buffers are usually modeled by a signal or an if-else construct.
  • This applies whether the buffer drives an internal bus or an external bus on the board on which the device resides.
  • The signal is assigned a high impedance value in one branch of the if-else. Download the coding example files from Coding Examples.