Using Incremental Synthesis in Non-Project Mode - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

In project mode, the tool automatically reads in the last DCP file from when synthesis was the last run if running in default mode or any DCP that is specifically mentioned. In non-project mode, the reference DCP must be read before synthesis. The command for that is:

read_checkpoint -auto_incremental -incremental<path to dcp file>

Or

read_checkpoint -incremental <path to dcp file>

After this, run the synth_design command as normal.

Note: The -auto_incremental option in read_checkpoint is the same as the default behavior in the IDE.