VHDL Component Instantiation - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

Component instantiation allows you to instantiate one design unit (component) inside another design unit to create a hierarchically structured design description.

To perform component instantiation:

  1. Create the design unit (entity and architecture) modeling the functionality to be instantiated.
  2. Declare the component to be instantiated in the declarative region of the parent design unit architecture.
  3. Instantiate and connect this component in the architecture body of the parent design unit.
  4. Map (connect) formal ports of the component to actual signals and ports of the parent design unit.