VHDL Entity Declarations - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

The I/O ports of the circuit are declared in the entity. Each port has a:

  • name
  • mode (in, out, inout, buffer)
  • type