Variables - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

A VHDL variable is:

  • Declared in a process or a subprogram.
  • Used within that process or subprogram.
  • Assigned with the := assignment operator.
variable var1 : std_logic_vector (7 downto 0); var1 := "01010011";