Verilog Usage Restrictions - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English

Verilog usage restrictions in Vivado synthesis include the following:

  • Case Sensitivity
  • Blocking and Non-Blocking Assignments
  • Integer Handling