About the Vivado Implementation Process - 2023.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 English

The AMD Vivado™ Design Suite enables implementation of the following AMD device architectures: AMD Versal™ adaptive compute acceleration platform (adaptive SoC), AMD UltraScale™ , AMD UltraScale+™ , and AMD 7 series FPGA. A variety of design sources are supported, including:

  • RTL designs
  • Netlist designs
  • IP-centric design flows

The Figure 1 shows the Vivado tools flow.

Vivado implementation includes all steps necessary to place and route the netlist onto device resources, within the logical, physical, and timing constraints of the design.

For more information about the design flows supported by the Vivado tools, see the Vivado Design Suite User Guide: Design Flows Overview (UG892).