Automatic Incremental - 2023.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 English

Automatic Incremental Implementation is designed to leverage the faster compile times of incremental implementation whilst not impacting quality of results such as WNS. It is a subset of the full incremental flow with tighter controls to ensure performance does not degrade. It works to the following criteria:

  1. Updating the reference checkpoint only when WNS is >=-0.250 ns. This is only actively managed in project mode. In non project flow, users must follow the script provided below.
  2. Setting higher targets for WNS and reuse during the read_checkpoint -incremental phase.
    • 94% cell matching
    • 90% net matching
    • WNS >= -0.250 ns

The flow is activated using the following command:

read_checkpoint -incremental -auto_incremental <reference>.dcp

When updating the checkpoint, the following script will ensure that WNS has not degraded beyond acceptable limits:

if {[get_property SLACK [get_timing_path]] > -0.250} { 
file copy -force <postroute>.dcp <reference>.dcp
}