Out-of-Context Design Constraints - 2023.2 English

Vivado Design Suite User Guide: Hierarchical Design (UG905)

Document ID
UG905
Release Date
2023-10-18
Version
2023.2 English

To process a design using the Module Analysis flow, none of the following constraints are absolutely required. For more accurate timing analysis, use of HD.CLK_SRC and create_clock are strongly encouraged. All other constraints are optional.

When using a Module Reuse flow, these context constraints become much more important. For successful assembly of designs with OOC modules, these constraints ensure that the physical resources are appropriately allocated, clock interactions are understood, and information about the module interfaces are accurately set. Without establishing the constraints for each module, assembly become more difficult.