Auto Termination of Runs - 2023.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 English

When the QoR Assessment feature is run during a project-based implementation run, a score is generated between 1 and 5 that indicates if the design is unlikely (low) or likely (high) to close timing.

It is also possible to do an early termination of runs that are predicted to be low quality, allowing server resources to be freed up earlier than if the run had continued to the end. Early termination of a run occurs in the following circumstances:

  • When the MIN_RQA_SCORE run property is set. For example when set to 3, design runs with RQA scores of 1 or 2 are terminated.
  • The report_qor_assessment command is called in the Timing Closure Report Strategy after opt_design. If additional calls are required, a custom report strategy is recommended.
An example of setting the MIN_RQA_SCORE property to 1 on run impl_1 is as follows:
set_property MIN_RQA_SCORE 3 [get_runs impl_1]
Note: This feature does not have a direct correlation in non-project mode. An easy way to generate the RQA score from script can be to call report_qor_assessment and then to call report_design_analysis -qor_summary -json <filename>.json.