Overview - 2023.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 English

The Intelligent Design Run for timing closure is an aggressive timing closure implementation run with the sole objective of closing timing. Both power and compile time are not considered, but some power optimizations might be possible with utilization savings. It is split into three stages, as shown in the following simplified diagram.

Figure 1. IDR Overview

The flow is fully automated, and there is no user control over which stages can be run. Before attempting to close timing using IDR, a design should be clean of methodology issues. Run report_methodology and fix or waive all critical warnings and warnings.

The details of each of the stages are as follows.

Stage 1: Design Optimization
In the Design Optimization stage QoR Suggestions are generated and applied. Compile time is typically up to two and a half times the compile time of a standard implementation run. The reasons for this are as follows:
  • To generate accurate data for analysis, the implementation tools must be run to post-place or post-route. To apply the suggestions, the design run must be reset and the implementation tools rerun.
  • By allowing the impact of QoR suggestions to be realized before conducting a new analysis and generating new suggestions, the impact of design issues is not overestimated, resulting in the maximum QoR impact.
Stage 2: Tool Option Exploration
This stage uses ML strategies to predict the best tool options to use.
Stage 3: Last Mile Timing Closure
This stage leverages post-route phys_opt_design, incremental implementation using a Last Mile directive, and incremental QoR suggestions to close timing. To enter this stage, a design must have an RQA score of 3 or greater, and have WNS between -0.250 and 0.000. If these criteria are not met, this stage is skipped and the flow exits.
The flow can exit in any of the following conditions:
  • At any stage, if timing is met and the design is fully routed.
  • At stage 1, if:
    • The design fails initial timing checks.
    • The design fails initial utilization checks.
    • The tool option to exit when failed methodology checks is activated and there are failed methodology checks with IDs TIMING-6/7/8/13.
    • The design fails to route.
    • There are no predicted ML strategies.
  • At stage 2, if the Last Mile criteria are not met.
  • At the end of stage 3, if the Last Mile algorithms have been exhausted and no further improvements can be made.