Example 2: Vivado Design Suite Tcl Commands for Third-Party Synthesis (starting from EDIF) - 2023.2 English

ISE to Vivado Design Suite Migration Guide (UG911)

Document ID
UG911
Release Date
2023-11-01
Version
2023.2 English
set design_name design_top
#read inputs
read_edif { source1.edf source2.edf $design_name.edf }
read_xdc $design_name.xdc
link_design -part xc7v585tffg1157-2 -top $design_name
#Reports are not generated by default
report_timing_summary -file post_synth_timing_summ.txt
opt_design
place_design
write_checkpoint -force ${design_name}_post_place.dcp
report_utilization -file post_place_util.txt
route_design
#Reports are not generated by default
report_timing_summary -file post_route_timing.txt
#Save the database after post route
write_checkpoint -force ${design_name}_post_route.dcp
#Check for DRC
report_drc -file post_route_drc.txt
# Write Bitstream
write_bitstream -force ${design_name}.bit