From:To Constraints - 2023.2 English

ISE to Vivado Design Suite Migration Guide (UG911)

Document ID
UG911
Release Date
2023-11-01
Version
2023.2 English

Generally, UCF From:To constraints are converted to either set_max_delay or set_min_delay XDC constraints, with the -from, -to and -through design-dependent arguments.

The intent of UCF constraints is to use the equivalent XDC constraints. While most UCF constraints are net-based, XDC constraints must be constructed to ports and pins.

Helpful XDC commands for these constraints are: all_fanout, get_cells and get_pins as well as the -from, -to and -through arguments.