run_vivado_bitstream.tcl - 2023.2 English

ISE to Vivado Design Suite Migration Guide (UG911)

Document ID
UG911
Release Date
2023-11-01
Version
2023.2 English
# Gathering TCL Arg
set DESIGN [lindex $argv 0]
read_checkpoint ./${DESIGN}_route.dcp
# Create bitstream
write_bitstream -force ${DESIGN}.bit
exit
Note: This flow exits and re-enters Vivado tools for the defined steps in the Makefile. While this allows greater run control from the make infrastructure, it is not the most efficient in execution time because you must exit and restart the software, and then reload the design for each defined step. Building this entire run in Tcl could be more efficient in runtime because the design can remain in memory from step to step if that is more desirable than having the Makefile control the steps.