HW_SIO_RX - 2023.2 English

Vivado Design Suite Properties Reference Guide (UG912)

Document ID
UG912
Release Date
2023-11-01
Version
2023.2 English

Description

On the hardware device, each GT includes an independent receiver, hw_sio_rx, which consists of a PCS and a PMA. High-speed serial data flows from traces on the board into the PMA of the GTX/GTH transceiver RX, into the PCS, and finally into the FPGA logic.

Related Objects

Figure 1. Hardware SIO RX and TX Objects

HW_SIO_RX objects are associated with hw_server, hw_target, hw_device, hw_sio_ibert, hw_sio_gt, or hw_sio_link objects.

You can query the HW_SIO_RX objects of associated objects:

get_hw_sio_rxs -of [get_hw_sio_gts]

And you can query the objects associated with a specific HW_SIO_RX:

get_hw_sio_links -of [get_hw_sio_rxs]

Properties

You can use the report_property command to report the properties assigned to a specific HW_SIO_RX object. Refer to the Vivado Design Suite Tcl Command Reference Guide (UG835) for more information. The properties assigned to hw_sio_rx objects include the following, with example values:

Property	Type	Read-only	Visible	Value
CLASS	string	true	true	hw_sio_rx
DISPLAY_NAME	string	true	true	MGT_X0Y8/RX
DRP.ES_CONTROL	string	false	true	00
DRP.ES_CONTROL_STATUS	string	false	true	0
DRP.ES_ERRDET_EN	string	false	true	0
DRP.ES_ERROR_COUNT	string	false	true	0000
DRP.ES_EYE_SCAN_EN	string	false	true	1
DRP.ES_HORZ_OFFSET	string	false	true	000
DRP.ES_PMA_CFG	string	false	true	000
DRP.ES_PRESCALE	string	false	true	00
DRP.ES_QUALIFIER	string	false	true	00000000000000000000
DRP.ES_QUAL_MASK	string	false	true	00000000000000000000
DRP.ES_RDATA	string	false	true	00000000000000000000
DRP.ES_SAMPLE_COUNT	string	false	true	0000
DRP.ES_SDATA	string	false	true	00000000000000000000
DRP.ES_SDATA_MASK	string	false	true	00000000000000000000
DRP.ES_UT_SIGN	string	false	true	0
DRP.ES_VERT_OFFSET	string	false	true	000
DRP.FTS_DESKEW_SEQ_ENABLE	string	false	true	F
DRP.FTS_LANE_DESKEW_CFG	string	false	true	F
DRP.FTS_LANE_DESKEW_EN	string	false	true	0
DRP.RXBUFRESET_TIME	string	false	true	01
DRP.RXBUF_ADDR_MODE	string	false	true	1
DRP.RXBUF_EIDLE_HI_CNT	string	false	true	8
DRP.RXBUF_EIDLE_LO_CNT	string	false	true	0
DRP.RXBUF_EN	string	false	true	1
DRP.RXBUF_RESET_ON_CB_CHANGE	string	false	true	1
DRP.RXBUF_RESET_ON_COMMAALIGN	string	false	true	0
DRP.RXBUF_RESET_ON_EIDLE	string	false	true	0
DRP.RXBUF_RESET_ON_RATE_CHANGE	string	false	true	1
DRP.RXBUF_THRESH_OVFLW	string	false	true	3D
DRP.RXBUF_THRESH_OVRD	string	false	true	0
DRP.RXBUF_THRESH_UNDFLW	string	false	true	04
DRP.RXCDRFREQRESET_TIME	string	false	true	01
DRP.RXCDRPHRESET_TIME	string	false	true	01
DRP.RXCDR_CFG	string	false	true	0B800023FF10200020
DRP.RXCDR_FR_RESET_ON_EIDLE	string	false	true	0
DRP.RXCDR_HOLD_DURING_EIDLE	string	false	true	0
DRP.RXCDR_LOCK_CFG	string	false	true	15
DRP.RXCDR_PH_RESET_ON_EIDLE	string	false	true	0
DRP.RXDFELPMRESET_TIME	string	false	true	0F
DRP.RXDLY_CFG	string	false	true	001F
DRP.RXDLY_LCFG	string	false	true	030
DRP.RXDLY_TAP_CFG	string	false	true	0000
DRP.RXGEARBOX_EN	string	false	true	0
DRP.RXISCANRESET_TIME	string	false	true	01
DRP.RXLPM_HF_CFG	string	false	true	00F0
DRP.RXLPM_LF_CFG	string	false	true	00F0
DRP.RXOOB_CFG	string	false	true	06
DRP.RXOUT_DIV	string	false	true	0
DRP.RXPCSRESET_TIME	string	false	true	01
DRP.RXPHDLY_CFG	string	false	true	084020
DRP.RXPH_CFG	string	false	true	000000
DRP.RXPH_MONITOR_SEL	string	false	true	00
DRP.RXPMARESET_TIME	string	false	true	03
DRP.RXPRBS_ERR_LOOPBACK	string	false	true	0
DRP.RXSLIDE_AUTO_WAIT	string	false	true	7
DRP.RXSLIDE_MODE	string	false	true	0
DRP.RX_BIAS_CFG	string	false	true	004
DRP.RX_BUFFER_CFG	string	false	true	00
DRP.RX_CLK25_DIV	string	false	true	04
DRP.RX_CLKMUX_PD	string	false	true	1
DRP.RX_CM_SEL	string	false	true	3
DRP.RX_CM_TRIM	string	false	true	4
DRP.RX_DATA_WIDTH	string	false	true	5
DRP.RX_DDI_SEL	string	false	true	00
DRP.RX_DEBUG_CFG	string	false	true	000
DRP.RX_DEFER_RESET_BUF_EN	string	false	true	1
DRP.RX_DFE_CTLE_STAGE1	string	false	true	8
DRP.RX_DFE_CTLE_STAGE2	string	false	true	3
DRP.RX_DFE_CTLE_STAGE3	string	false	true	0
DRP.RX_DFE_GAIN_CFG	string	false	true	020FEA
DRP.RX_DFE_H2_CFG	string	false	true	000
DRP.RX_DFE_H3_CFG	string	false	true	040
DRP.RX_DFE_H4_CFG	string	false	true	0F0
DRP.RX_DFE_H5_CFG	string	false	true	0E0
DRP.RX_DFE_KL_CFG2	string	false	true	3010D90C
DRP.RX_DFE_KL_CFG	string	false	true	00FE
DRP.RX_DFE_LPM_CFG	string	false	true	0954
DRP.RX_DFE_LPM_HOLD_DURING_EIDLE	string	false	true	0
DRP.RX_DFE_UT_CFG	string	false	true	11E00
DRP.RX_DFE_VP_CFG	string	false	true	03F03
DRP.RX_DFE_XYD_CFG	string	false	true	0000
DRP.RX_DISPERR_SEQ_MATCH	string	false	true	1
DRP.RX_INT_DATAWIDTH	string	false	true	1
DRP.RX_OS_CFG	string	false	true	0080
DRP.RX_SIG_VALID_DLY	string	false	true	09
DRP.RX_XCLK_SEL	string	false	true	0
DRP.TXBUF_RESET_ON_RATE_CHANGE	string	false	true	0
DRP.TXPCSRESET_TIME	string	false	true	01
DRP.TXPMARESET_TIME	string	false	true	01
DRP.TX_LOOPBACK_DRIVE_HIZ	string	false	true	0
DRP.TX_RXDETECT_CFG	string	false	true	1832
DRP.TX_RXDETECT_REF	string	false	true	4
ES_HORZ_MIN_MAX	string	false	true	32
LINE_RATE	string	false	true	0.000
LOGIC.ERRBIT_COUNT	string	false	true	000000000000
LOGIC.GT_SOURCES_SYSCLK	string	false	true	0
LOGIC.LINK	string	false	true	0
LOGIC.MGT_ERRCNT_RESET_CTRL	string	false	true	0
LOGIC.MGT_ERRCNT_RESET_STAT	string	false	true	0
LOGIC.MGT_RESET_CTRL	string	false	true	0
LOGIC.MGT_RESET_STAT	string	false	true	0
LOGIC.RXPAT_ID	string	false	true	1
LOGIC.RXRECCLK_FREQ_CNT	string	false	true	0000
LOGIC.RXRECCLK_FREQ_TUNE	string	false	true	4000
LOGIC.RXUSRCLK2_FREQ_CNT	string	false	true	0000
LOGIC.RXUSRCLK2_FREQ_TUNE	string	false	true	4000
LOGIC.RXUSRCLK_FREQ_CNT	string	false	true	0000
LOGIC.RXUSRCLK_FREQ_TUNE	string	false	true	4000
LOGIC.RXWORD_COUNT	string	false	true	000000000000
LOGIC.RX_DCM_LOCK	string	false	true	1
LOGIC.RX_DCM_RESET_CTRL	string	false	true	0
LOGIC.RX_DCM_RESET_STAT	string	false	true	0
LOGIC.RX_FRAMED	string	false	true	0
LOGIC.TX_DCM_RESET_CTRL	string	false	true	0
LOGIC.TX_DCM_RESET_STAT	string	false	true	1
LOOPBACK	enum	false	true	Near-End PCS
NAME	string	true	true	
localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8/RX PARENT	string	true	true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8
PORT.CFGRESET	string	false	true	0
PORT.CPLLRESET	string	false	true	0
PORT.EYESCANDATAERROR	string	false	true	0
PORT.EYESCANMODE	string	false	true	0
PORT.EYESCANRESET	string	false	true	0
PORT.EYESCANTRIGGER	string	false	true	0
PORT.GTRESETSEL	string	false	true	0
PORT.GTRXRESET	string	false	true	0
PORT.GTTXRESET	string	false	true	0
PORT.LOOPBACK	string	false	true	1
PORT.RESETOVRD	string	false	true	0
PORT.RX8B10BEN	string	false	true	0
PORT.RXBUFRESET	string	false	true	0
PORT.RXBUFSTATUS	string	false	true	0
PORT.RXBYTEISALIGNED	string	false	true	0
PORT.RXBYTEREALIGN	string	false	true	0
PORT.RXCDRFREQRESET	string	false	true	0
PORT.RXCDRHOLD	string	false	true	0
PORT.RXCDRLOCK	string	false	true	0
PORT.RXCDROVRDEN	string	false	true	0
PORT.RXCDRRESET	string	false	true	0
PORT.RXCDRRESETRSV	string	false	true	0
PORT.RXCHANBONDSEQ	string	false	true	0
PORT.RXCHANISALIGNED	string	false	true	0
PORT.RXCHANREALIGN	string	false	true	0
PORT.RXCHARISCOMMA	string	false	true	00
PORT.RXCHARISK	string	false	true	00
PORT.RXCHBONDEN	string	false	true	0
PORT.RXCHBONDI	string	false	true	10
PORT.RXCHBONDLEVEL	string	false	true	0
PORT.RXCHBONDMASTER	string	false	true	0
PORT.RXCHBONDO	string	false	true	00
PORT.RXCHBONDSLAVE	string	false	true	0
PORT.RXCLKCORCNT	string	false	true	0
PORT.RXCOMINITDET	string	false	true	0
PORT.RXCOMMADET	string	false	true	0
PORT.RXCOMMADETEN	string	false	true	0
PORT.RXCOMSASDET	string	false	true	0
PORT.RXCOMWAKEDET	string	false	true	0
PORT.RXDATAVALID	string	false	true	0
PORT.RXDDIEN	string	false	true	0
PORT.RXDFEAGCHOLD	string	false	true	0
PORT.RXDFEAGCOVRDEN	string	false	true	0
PORT.RXDFECM1EN	string	false	true	0
PORT.RXDFELFHOLD	string	false	true	0
PORT.RXDFELFOVRDEN	string	false	true	0
PORT.RXDFELPMRESET	string	false	true	0
PORT.RXDFETAP2HOLD	string	false	true	0
PORT.RXDFETAP2OVRDEN	string	false	true	0
PORT.RXDFETAP3HOLD	string	false	true	0
PORT.RXDFETAP3OVRDEN	string	false	true	0
PORT.RXDFETAP4HOLD	string	false	true	0
PORT.RXDFETAP4OVRDEN	string	false	true	0
PORT.RXDFETAP5HOLD	string	false	true	0
PORT.RXDFETAP5OVRDEN	string	false	true	0
PORT.RXDFEUTHOLD	string	false	true	0
PORT.RXDFEUTOVRDEN	string	false	true	0
PORT.RXDFEVPHOLD	string	false	true	0
PORT.RXDFEVPOVRDEN	string	false	true	0
PORT.RXDFEVSEN	string	false	true	0
PORT.RXDFEXYDEN	string	false	true	0
PORT.RXDFEXYDHOLD	string	false	true	0
PORT.RXDFEXYDOVRDEN	string	false	true	0
PORT.RXDISPERR	string	false	true	00
PORT.RXDLYBYPASS	string	false	true	1
PORT.RXDLYEN	string	false	true	0
PORT.RXDLYOVRDEN	string	false	true	0
PORT.RXDLYSRESET	string	false	true	0
PORT.RXDLYSRESETDONE	string	false	true	0
PORT.RXELECIDLE	string	false	true	1
PORT.RXELECIDLEMODE	string	false	true	0
PORT.RXGEARBOXSLIP	string	false	true	0
PORT.RXHEADER	string	false	true	0
PORT.RXHEADERVALID	string	false	true	0
PORT.RXLPMEN	string	false	true	0
PORT.RXLPMHFHOLD	string	false	true	0
PORT.RXLPMHFOVRDEN	string	false	true	0
PORT.RXLPMLFHOLD	string	false	true	0
PORT.RXLPMLFKLOVRDEN	string	false	true	0
PORT.RXMCOMMAALIGNEN	string	false	true	0
PORT.RXMONITOROUT	string	false	true	7F
PORT.RXMONITORSEL	string	false	true	0
PORT.RXNOTINTABLE	string	false	true	FF
PORT.RXOOBRESET	string	false	true	0
PORT.RXOSHOLD	string	false	true	0
PORT.RXOSOVRDEN	string	false	true	0
PORT.RXOUTCLKFABRIC	string	false	true	1
PORT.RXOUTCLKPCS	string	false	true	0
PORT.RXOUTCLKSEL	string	false	true	1
PORT.RXPCOMMAALIGNEN	string	false	true	0
PORT.RXPCSRESET	string	false	true	0
PORT.RXPD	string	false	true	0
PORT.RXPHALIGN	string	false	true	0
PORT.RXPHALIGNDONE	string	false	true	0
PORT.RXPHALIGNEN	string	false	true	0
PORT.RXPHDLYPD	string	false	true	0
PORT.RXPHDLYRESET	string	false	true	0
PORT.RXPHMONITOR	string	false	true	00
PORT.RXPHOVRDEN	string	false	true	0
PORT.RXPHSLIPMONITOR	string	false	true	04
PORT.RXPMARESET	string	false	true	0
PORT.RXPOLARITY	string	false	true	0
PORT.RXPRBSCNTRESET	string	false	true	0
PORT.RXPRBSERR	string	false	true	0
PORT.RXPRBSSEL	string	false	true	0
PORT.RXQPIEN	string	false	true	0
PORT.RXQPISENN	string	false	true	0
PORT.RXQPISENP	string	false	true	0
PORT.RXRATE	string	false	true	0
PORT.RXRATEDONE	string	false	true	0
PORT.RXRESETDONE	string	false	true	0
PORT.RXSLIDE	string	false	true	0
PORT.RXSTARTOFSEQ	string	false	true	0
PORT.RXSTATUS	string	false	true	0
PORT.RXSYSCLKSEL	string	false	true	3
PORT.RXUSERRDY	string	false	true	1
PORT.RXVALID	string	false	true	0
PORT.TXDETECTRX	string	false	true	0
PORT.TXDLYSRESET	string	false	true	0
PORT.TXDLYSRESETDONE	string	false	true	0
PORT.TXPCSRESET	string	false	true	0
PORT.TXPHDLYRESET	string	false	true	0
PORT.TXPMARESET	string	false	true	0
PORT.TXRESETDONE	string	false	true	0
RXDFEENABLED	enum	false	true	1
RXOUTCLKSEL	enum	false	true	RXOUTCLKPCS
RXOUT_DIV	enum	false	true	1
RXPLL	enum	false	true	QPLL
RXRATE	enum	false	true	Use RX_OUT_DIV
RXTERM	enum	false	true	900 mV
RXTERMMODE	enum	false	true	Programmable
RXUSRCLK2_FREQ	string	false	true	0.048828
RXUSRCLK_FREQ	string	false	true	0.048828
RX_BER	string	false	true	inf
RX_DATA_WIDTH	enum	false	true	40
RX_DFE_CTLE	enum	false	true	
RX_INTERNAL_DATAPATH	enum	false	true	4-byte
RX_PATTERN	enum	false	true	PRBS 7-bit
RX_PLL	string	true	true	
localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/COMMON_X0Y2/QPLL_0
RX_RECEIVED_BIT_COUNT	string	false	true	0
STATUS	string	false	true	NO LINK

To report the properties for a HW_SIO_RX object, you can copy and paste the following command into the Vivado Design Suite Tcl shell or Tcl Console:

report_property -all [lindex [get_hw_sio_rxs] 0]