INCREMENTAL_CHECKPOINT - 2023.2 English

Vivado Design Suite Properties Reference Guide (UG912)

Document ID
UG912
Release Date
2023-11-01
Version
2023.2 English

The INCREMENTAL_CHECKPOINT property specifies the path and filename to a design checkpoint file (DCP) to be used during incremental implementation. Specify this property to reuse the placement and routing data of a previously placed or routed design. Refer to Vivado Design Suite User Guide: Implementation (UG904) for more information.

Tip: The INCREMENTAL_CHECKPOINT property is only supported in the Vivado tools project-mode. To reuse prior placement and routing results in non-project mode use the read_checkpoint -incremental command.

The incremental implementation flow can be configured in one of three ways:

  • Automatic reuse of the prior placement and routing of the current design. Enable the AUTO_INCREMENTAL_CHECKPOINT property.
  • Manual reuse of the placement and routing data from a prior implementation of a specified design checkpoint. Disable the AUTO_INCREMENTAL_CHECKPOINT property, and specify the INCREMENTAL_CHECKPOINT property.
  • Disabled so there is no incremental implementation. Disable the AUTO_INCREMENTAL_CHECKPOINT property, and do not specify the INCREMENTAL_CHECKPOINT property.

The reference design checkpoint is usually an earlier iteration or variation of the design that has been synthesized, placed, and routed. However, you can also reference a checkpoint that has placement only.

Important: For the incremental flow to work properly, the device and speed grade of the reference design must match the device and speed grade of the current design.
Architecture Support
All architectures.
Applicable Objects
Vivado implementation run objects (get_runs)
Values
{filename}: Specifies the path and filename to a design checkpoint file (DCP) to be used during incremental implementation.

Syntax

Verilog Syntax

Not applicable

VHDL Syntax

Not applicable

XDC Syntax
set_property INCREMENTAL_CHECKPOINT {filename} [get_runs <impl_run> \ -filter {IS_IMPLEMENTATION} ]

Where {filename} is the path and filename of design checkpoint file (DCP) to be used during incremental implementation.

Tip: You can use the -filter {IS_IMPLEMENTATION} option for the get_runs command to get just implementation runs.

XDC Syntax Example:

set_property INCREMENTAL_CHECKPOINT C:/Data/checkpoint_alpha.dcp \ 
[get_runs * -filter {IS_IMPLEMENTATION}]

Affected Steps

  • Implementation